2016-05-14 9 views
0

Ich verwende Xilinx Systemgeneratorblöcke in Matlab.Matlab Systemgenerator: Fehler mit Black Box

Ich verwende einfach nur eine Blackbox mit einem Gateway in und Gateway aus.

Der Code für die Black-Box ist sehr einfach und

library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 
use ieee.numeric_std.ALL; 

entity test44_vhdl is 
    Port (row : in std_logic_vector (1 downto 0); 
      slice : out std_logic_vector (3 downto 0)); 
end test44_vhdl; 

architecture Behavioral of test44_vhdl is 

type oneD is array (1 to 3) of integer range 0 to 15; 
constant table: oneD := (3, 9, 13); 

begin 

    slice <= std_logic_vector(to_unsigned(table(to_integer(unsigned(row))), slice'length)); 

end Behavioral; 

richtig mit dem ISE-Design-Suite arbeiten, aber leider ist es nicht mit dem Matlab-System-Generator zu arbeiten.

bekam ich die folgende Fehlermeldung

Exception: ISE Simulator Simulation failed during initialization. 

kann mir helfen, was mit diesem Code falsch ist und was sich ändern muss ich tun, damit das Modell richtig funktioniert

Antwort

0

, nachdem ich das Problem überprüft mehrere Mal, ich habe den Fehler gefunden, ist, dass der Array-Tabelle kein Wert zugewiesen wird, wenn die Eingabe "00" ist

Also, die einzige Änderung, die ich tun sollte, ist, einen Wert zu Array bei 0 hinzuzufügen

type oneD is array (0 to 3) of integer range 0 to 15; 
constant table: oneD := (3, 9, 13, 6); 

jetzt funktioniert das Modell korrekt.