Nun oft in VHDL merke ich, dass eine bestimmte Komponente mehrere Ausgänge hat. Dh in einem unserer Beispiele erhielten wir folgende Komponente:Wie man Ausgangsports mit Portkarten ignoriert
COMPONENT eight_bitadder
PORT (a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
wobei z bestimmt, ob das Ergebnis 0 ist und o bei Überlauf auslöst.
Jetzt möchte ich diesen Addierer verwenden, aber das tatsächliche Ergebnis ist nicht von Bedeutung, ich möchte nur überprüfen, ob das Ergebnis "0" ist. Ich könnte natürlich ein Dummy-Signal hinzufügen und den Port für dieses Signal speichern, was jedoch unnötig kompliziert erscheint und zusätzliche Komponenten während der Synthese hinzufügen könnte.
So offen ist ein spezielles Schlüsselwort - kann dies auch mit der Syntax verwendet werden, wo Sie die Ports nicht explizit benennen, und verwenden Sie die Reihenfolge? (nur um Verifizierung/Klarheit bitten)? – paul23
Ja, "offen" ist in VHDL reserviert. Ehrlich gesagt habe ich das nie probiert, probier es und lass mich wissen wie es geht. Ich erkläre das Port-Mapping immer explizit. – Russell
gut getestet und ja, ich konnte es kompilieren und synthetisieren, auch mit der nicht expliziten Benennung. Danke noch einmal. – paul23