2017-07-06 3 views
0

Ich habe diesen einfachen Code geschrieben. Aber wenn ich versuche, es mit Modelsim zu simulieren, wird der Fehler angezeigt, dass die Bibliothek nicht zugeordnet werden kann. Wie sortiere ich es?Modelsim "Fehler beim Zuordnen der Bibliothek" Fehler

library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 

entity p1 is 
    Port (a : in STD_LOGIC; 
      b : in STD_LOGIC; 
      c : out STD_LOGIC); 
end p1; 

architecture Behavioral of p1 is 

begin 

c <= a or b; 

end Behavioral; 

Dies ist der Fehler:

ERROR: Failed to map the library

+0

Bitte entfernen Sie das xilinx-Tag. Und in welcher Bibliothek versuchst du die Datei zu kompilieren? Haben Sie diese Bibliothek und eine logische Zuordnung dazu erstellt? – JHBonarius

Antwort

0

wahrscheinlich geändert Sie Verzeichnis und Bibliothek work wird dort nicht erstellt, obwohl eine Abbildung in Ihrem modelsim.ini existiert.

Art in Transkript:

vlib work 

die Bibliothek work zu erstellen.

edit: wenn die Zuordnung fehlt auch (das heißt die obige Lösung ist dies nicht lösen) fügen Sie den folgenden Befehl ein:

vmap work work 

P. S. Dumme Sache ist: modelsim sollte nicht standardmäßig auf eine Bibliothek mit dem Namen "work" zurückgreifen, da "work" ein reserviertes Schlüsselwort ist ("die aktuelle Bibliothek"). Aber ignorieren Sie das, da dies seit Jahrzehnten ein Problem ist ...

Verwandte Themen