2016-04-04 10 views
1

Ich habe meine Testbench-Skripte mit Active-HDL-Unterstützung erweitert. Active-HDL verhält sich meistens wie QuestaSim oder ModelSim, selbst die Befehlszeilenargumente sind ähnlich.Wie kann ich meine Simulationsergebnisse von Active-HDL in einem Wellenformfenster anzeigen?

Ich habe eine Active-HDL Student Edition und Active-HDL Lattice Edition von Lattice Diamond 3.7 installiert. Ich benutze den letzten, weil diese Version mit einer vollständigen vsimsa (vsim standalone) Umgebung ausgeliefert wird.

Meine Skripte verarbeitet die ausgewählten Testbank in 3 Schritten:

  1. Es erstellt alle erforderlichen VHDL-Bibliotheken mit vlib.exe (alib)
  2. Es alle VHDL Quelle kompiliert Dateien mit vcom.exe (acom)
  3. Es startet vsimsa.exe mit einem Befehl TCL:
    asim -lib test arith_prng_tb; run -all; bye

Th e Simulationsläufe und zeigt eine gute Leistung:

VHDL/Verilog/EDIF/SystemC Simulator 10.2.3312.5682.02 
(c) 1997-2015 Aldec, Inc. All rights reserved. 
VSIMSA: Configuration files: `D:\git\PoC\temp\activehdl\library.cfg', `D:\Lattice\diamond\3.7_x64\active-hdl\BIN\vsimsa.cfg' 
Welcome to VSIMSA! 
This message was printed from `startup.do' macro file. 

asim -lib test arith_prng_tb; run -all; bye 
VSIM: Selected architecture `tb' of entity `arith_prng_tb' from library `test'. 
.... 
.... 
KERNEL: ASDB file was created in location D:\git\PoC\temp\activehdl\wave.asdb 
======================================== 
POC TESTBENCH REPORT 
======================================== 
Tests   2 
-1: Default test 
    0: Test setup for BITS=8; SEED=0x12 

Overall 
    Assertions 256 
    failed  0 
    Processes 3 
    active  0 
    Runtime  2.6 us 
======================================== 
SIMULATION RESULT = PASSED 
======================================== 
Simulation has finished. There are no more test vectors to simulate. 

Wie man sehen kann, asim eine wave.asdb schafft Datei, die von der GUI geladen werden kann, aber es ist leer (keine Signale).

So sind meine Fragen:

  • Wie kann ich Trace-Signale in dieser Wellenform-Datenbank-Datei?
  • Wie kann ich diese Datei über die Befehlszeile in der GUI öffnen?

Antwort

2

trace oder log Befehl muss verwendet werden, um Signale zu spezifizieren angemeldet in die Simulationsdatenbank werden (beachten Sie diese Befehle in verschiedenen Situationen unterstützt werden, je nachdem, wie Sie Active HDL aufrufen).

Zum Beispiel:

log -ports UUT/* 

Spuren alle in dem Prüfobjekt Designbereich erklärt Ports.

Verfolgt rekursiv alle Signale (einschließlich Verilog-Speicher), die im UUT-Designbereich deklariert sind.

log CLK RST 

Spuren des CLK und RST-Signale aus dem aktuellen Bereich

Möglicherweise müssen Sie Ihre Zusammenstellung Optimierungsoptionen ändern, um alle Signale von Interesse zu verfolgen.

Um Ihre zweite Frage zu beantworten, können Sie mit dem Befehl wave Wellenformen zur aktuellen Wellenformanzeige hinzufügen.

Verwandte Themen