2017-08-11 2 views

Antwort

0

Ein gutes Synthese-Tool wird in der Lage sein, einen ROM (reg [width-1:0] name [depth-1:0], wenn Sie so wollen) Sinn zu machen und vernünftige Hardware daraus richtig zu synthetisieren. Sie können auf seine Elemente mit der eckigen Klammer-Syntax zugreifen:

reg [7:0] lut [1023:0]; 
initial $readmemh(...); // to fill lut 
assign output = lut[input]; 
+0

Danke! Ich werde es in meinem Code versuchen. – Ashley

Verwandte Themen