alu

    0Hitze

    1Antwort

    Sie sehen, ich habe bereits eine ALU auf vhdl mit modelsim beschrieben, aber die Testbench scheint die Lösung nicht zu aktualisieren, wenn ich die Simulation der Schaltung 32 Bit-Antwort immer "UUUUUU

    1Hitze

    1Antwort

    Ich möchte ein 8-Bit-Alu in VHDL entwerfen, aber ich bekomme diese Fehler, ich denke, es hat etwas damit zu tun, dass meine Eingänge als bit_vectors deklariert werden. Ist das wahr? ** Error: C:/Progr

    -1Hitze

    2Antwort

    ich eine einfache ALU in Verilog wie folgt schreiben: input [15:0] in; output reg [15:0] out; reg [15:0] r [0:7]; reg [3:0] opcode; reg [3:0] outreg; reg [3:0] var1, var2; reg [15:0] a1, a2;

    0Hitze

    1Antwort

    Ich brauche eine arithmetisch-logische Einheit in VHDL für die Pic16f684. Die Anweisungen für die ALU finden Sie im Datenblatt des pic16f684. Die Anweisungen Ich brauche folgendes zu machen sind: Thes

    0Hitze

    2Antwort

    Ich mache eine generische N-Bit ALU in VHDL. Ich habe Probleme, den Wert für den Übertrag für die Addition zuzuweisen oder für die Subtraktion zu borgen. Ich habe folgendes versucht: library IEEE; us

    2Hitze

    1Antwort

    Ich arbeite an einem Projekt, wo ich meine eigene CPU für eine bestimmte Anweisung Teilmenge von MIPS erstellen muss. Ich gebe zu, dass ich dachte, dass ich den Single-Cycle-Datenpfad bis zu diesem Pr

    0Hitze

    1Antwort

    module tb_alu32(); reg clk, reset; reg [31:0] tb_a, tb_b, tb_yexpected; reg [2:0] tb_op; wire [31:0] tb_result; reg[31:0] vectornum, errors; reg[99:0] testvectors[10000:0]; ... always begin

    1Hitze

    1Antwort

    So entwerfe ich eine ALU in Verilog, während ich es lerne. Ich kam mit dem folgenden Code auf: Prüfstand: module ALUtb; reg clock = 1'b0; reg [0:7] val1; reg [0:7] val2; initial begin val1 = 8

    0Hitze

    2Antwort

    Ich versuche zu testen, ob ein oder mehrere Drähte aktiviert sind oder nicht, wenn ein Fehler/Überlauf in meinem Alu-Code vorliegt. Vor diesem Code: output reg[3:0]x; // line 149 output wire err

    0Hitze

    1Antwort

    Unten ist meine 1-Bit-ALU, die nachweislich funktioniert. Jetzt möchte ich diese 1-Bit-aLU zu einem 8-Bit-Alu verwenden und muss einen Prüfstand bestehen. Bisher habe ich einen 8-Bit-ALU-Code kompilie