2016-10-21 1 views
2

Wie von @bostaf in der Post loading configuration information in to the library beantwortet;Hilfsarray innerhalb von parent_construct() verursacht T_VARIABLE coderigniter 3.1.0

Unexpected T_VARIABLE is a parse error, 
meaning that code syntax or structure is not valid. 
This error usually occurs because of missing semicolon or bracket 
somewhere before it's triggered. 

THIS (sic!), Ich verstehe implizit. Ich habe auch jeden Testfall unter der T_VARIABLE Grundierung in PHP Parse/Syntax Errors; and How to solve them? konsultiert sowie durch alle und alle verwandten Fragen in der Seitenleiste intensiv durchsucht, aber ich habe das Gefühl, es ist entweder so einfach, ich vermisse es einfach, oder da ist etwas anderes im Spiel. Die Fehlermeldung: Message: syntax error, unexpected '$this' (T_VARIABLE) - die Quelle des Fehlers;

 class Upload extends CI_Controller 
    { 
     function __construct() 
     {  
      parent::__construct(); 
      $this->load->database(); 
      $this->load->library(array('session','ion_auth')); 
      $this->load->helper(array('form', 'url')); //error line HERE 
      $this->load->model('User_profile_model', 'User_profile', TRUE); 
     } 
    } 

DIESE Codierung sollte nicht auch immer sein erroring wie ich die gleiche Öffnung auf mehreren Seiten dieser App haben (hatten eine unexpected ' function' (T_VARIABLE) vor aufgrund der Zeilenabstand zwischen der Klassendeklaration und Funktion __construct() Linie) und keine der angegebenen T_VARIABLE Fehler vorhanden sind;

1) Fehlende Semikolon/Zahnspangen: ALLE Lader Linien enden mit dem erforderlichen Semikolon (und es gibt nur zwei Halterungen für die function __construct()).

2) String-Verkettung: Nicht mit . irgendwo, so dass das kein Problem ist.

3) Fehlende Ausdruck Operatoren: Keine Ausdrücke/Regexes verwendet, also kein Problem dort.

4) Listen: Verwenden von Arrays für Bibliotheken und Helfer, aber CI-Dokumente sagen, ich bin gut für diese spezielle Formatierung, und ich habe diesen Aspekt dreifach überprüft.

5) Klassendeklarationen: Nein, nein ... keine Probleme.

6) Variablen nach Identifikatoren und 7) Fehlende Pars nach Sprache kein Spiel haben konstruiert (es sei denn, ich blind war und etwas verpasst VOLLSTÄNDIG offensichtlich ...

Ich habe keine ken von dem, was der aktuellen Ausgabe wirklich könnte sein, wie dieser genaue Codeblock zahlreiche Seiten startet, und ich habe die, die das tun, ohne Wirkung überprüft.Wie ich schon sagte, es ist super-klar und ich vermisse es, oder es könnte einen anderen Faktor im Spiel hier.

Antwort

1

Offensichtlich ..., wenn Sie Codefragmente haben soll innerhalb der db zu einem zukünftigen Ereignis beziehen (die Entsendung eines image_path auf eine leere Tabellenspalte für diesen Anlass geschaffen), Sie‘ Es wird immer wieder Fehler geben - Framework kann nicht beschuldigt werden (lol!). Ich werde das ehrlich als hoch informierte Verwirrung lösen. Das Problem, das ich habe, bezieht sich eigentlich nicht auf syntaktische Fehler, sondern darauf, dass es von einer anderen (ineinander verschlungenen) Codebank namens Ion Auth ausgelöst wird. Der Fehler kam tatsächlich von der Initialisierung der Benutzeridentifikation ($id oder alternativ $user->id), so dass ursprüngliche, frühere Einschätzung, dass ich den Pfosten mit begann, INDEED faktisch positiv war.Auf der Seite user_profile_view musste ich eine falsch platzierte $user Variablendeklaration direkt nach dem Start des form_open verschieben, um diesen Fehler schließlich verschwinden zu lassen. Ich danke jedem, der sich die Zeit genommen hat, dies zu lesen und mit allem davonzukommen.

0

versuchen

$this->load->model('user_profile_model'); 

mit Klein

+0

Danke für deine Augen @lpz, aber die Docs sagen, ich bin gut für diesen Modelllader, wie es ist, und ich verwende die gleiche Notation in meiner App zu keinen negativen Auswirkungen - nur um das zu bestätigen, versuchte ich Ihre Linie. Schätze deine Zeit. – HomeOffice