2012-03-27 13 views
4

Dies sind meine Deklarationen. Warum identifiziert es sich nicht als Typ? Ich habe die numeric_std-Bibliothek importiert, und in der Dokumentation sehe ich, dass sie signed und unsigned unterstützt. Was ist hier falsch?VHDL Unbekannter Bezeichner "signed" in numeric_std

library IEEE; 
use IEEE.STD_LOGIC_1164.all; 

use work.my_package.all; 

entity landmark_1 is 
    generic 
     (data_length :integer := 8; 
     address_length:integer:=3); 
     port (clk:in std_logic; 
     vin:in std_logic; 
     rst:in std_logic; 
     flag: in std_logic; 
     din: in signed(data_length -1 downto 0) 

     done: out std_logic 
     ); 
end landmark_1; 

Antwort

4

try use ieee.numeric_std.all;

enthalten