2017-09-09 2 views
0

Ich habe ein Register mit 4 Bits.Was bedeutet "1" in Verilog?

reg[3:0] a; 

Und ich will es wie

a <= '1; 

Offenbar ein einzelnes Bit zuweisen es nicht das gleiche 1'b1 ist und 1. Ich bin neu in verilog und nicht sicher seine Syntax. Kann mir bitte jemand aufklären.

+1

Alle Syntax wird in der freien IEEE Std 1800-2012 – toolic

Antwort

2

Dies setzt alle Bits auf 1, glaube ich.

+6

BTW erklärt, das ist nur für SystemVerilog, nicht in Verilog. ''1' 'bedeutet wirklich, den Kontext des Ausdrucks mit den eigenen zu füllen. –