Ich habe Probleme etwas zu tun, wieReverse-Bit-Reihenfolge auf VHDL
b(0 to 7) <= a(7 downto 0)
, wenn ich es mit ghdl kompilieren, habe ich einen Auftrag Fehler. Der einzige Weg, den ich gefunden habe, um meine Schaltung arbeiten zu lassen, ist die folgende:
library ieee;
use ieee.std_logic_1164.all;
entity reverser is
port(
a: in std_logic_vector(7 downto 0);
y: out std_logic_vector(7 downto 0);
rev: in std_logic
);
end reverser;
architecture rtl of reverser is
signal b: std_logic_vector (7 downto 0);
begin
b(7) <= a(0);
b(6) <= a(1);
b(5) <= a(2);
b(4) <= a(3);
b(3) <= a(4);
b(2) <= a(5);
b(1) <= a(6);
b(0) <= a(7);
y <= b when rev = '1' else a;
end rtl;
Vorschläge? Vielen Dank im Voraus
Obwohl es nicht für den Bit-Rückwärtsbetrieb helfen, das absteigenden Bereich Schlüsselwort 'downto' (dh nicht' unten to'). – wap26
Entschuldigung für den Fehler, was ich meine, war das. b (0 bis 7) <= a (7 abwärts bis 0) – titockmente