2016-06-16 2 views
0

Ich versuche, die Methoden add_hdl_path und add_hdl_path_slice zu verwenden, um Backdoor-Zugriffe für ein bestimmtes Register in unserem Registerpaket zu konfigurieren.Können Sie den uvm_backdoor-Zugriff für ein einzelnes Register in UVM einrichten?

Können Sie uvm_backdoor für ein einzelnes Register einrichten oder müssen Sie die gesamte Registerkarte einrichten?

Ich bekomme Fehler wie: Block hat nicht HDL Pfad für die Abstraktion 'RTL' definiert.

Es scheint, als ob es für seinen übergeordneten uvm_reg_block nach der "RTL" -Warteschlange von HDL-Pfaden sucht.

Antwort

0

Sie können den HDL-Pfad für den obersten Wrapper des reg-Modells festlegen. Danach können Sie den relativen Pfad zu einzelnen Registern festlegen und Sie sollten auf die Register einzeln zugreifen können.

top_reg_block.set_hdl_path_root ("top_tb");

einzelne Register

top_reg_block.block_level.reg.add_hdl_path_slice ("dut.block.reg", 0, 1);

OR

top_reg_block.block_level.reg.add_hdl_path ('{' { "dut.block.reg", 0, 1}});

+0

Ich denke, du meinst: top_reg_block.block_level.reg.add_hdl_path_slice ('{.... – brogrammer

+0

Ja, du bist richtig gemeint add_hdl_path_slice (oben aktualisiert), obwohl add_hdl_path funktioniert auch. –

Verwandte Themen