2016-09-11 4 views
0

Ich habe einen Verilog-Code, der gut kompiliert. Wenn ich die Simulationsdatei (.wlf) mit vsim bekomme ich folgende Fehlermeldung:Modelsim Fehler: Fehler beim Lesen des Kartenkanals

Meine Transkript die folgenden

Openfile vsim.wlf sagen WLF Warnung: Fehler beim Map-Kanal zu lesen. ** Hinweis: (vish-4074) Die Datei vsim.wlf ist immer noch geöffnet und zeigt die Datei im Live-Ansichtsmodus an. Die WLF-Datei vsim.wlf enthält keine Kontextinformationen.

Jede Hilfe wird geschätzt. Danke

+0

Öffnen Sie die Wlf-Datei für eine laufende Simulation oder eine abgeschlossene Simulation? Das sieht aus wie die Nachricht, die man erhalten würde, wenn man versucht, eine beschädigte wlf-Datei anzuzeigen oder eine, die noch keine Daten hat. – Teajay

+0

Nein. Tatsächlich löschte ich alle zugehörigen Dateien und baute alles wieder. Es gibt mir immer noch den gleichen Fehler. Übrigens was bedeutet ** Fehler beim Lesen des Kartenkanals **? –

Antwort

0

Eigentlich verwende ich nicht die Wlf-Datei. Also, ich verstehe nicht, warum Sie die Wlf-Datei öffnen müssen.

Wäre es nicht genug, einige Signale im Wellenfenster zu betrachten?

Verwandte Themen