Ich habe ein Makro für Gating Gating Assertion definiert, aber beim Kompilieren stehe ich vor dem Scheitern: Endmodul erwartet bei Endproperty.Erwarteter Endmodulauftrag beim Definieren des Makros
Code:
`define gating_check(_name, _clock, _data, txen) \
property _name ; \
@(posedge `TOP.``_clock``) disable iff (~`STIMULUS.RSTN_VEC_GEN) \
(~(txen) |-> ##[1:6] (|`TOP.``_data`` == 0)); \
endproperty \
``_name``_checker : assert property (_name) else $error("-E- property gating_check failed"); \
``_name``_cover : cover property (_name)
Pls Hilfe.
Ich habe mit Codeblock formatiert, nicht sicher, ob alle Backticks gemeint sind. – Morgan