verilog

    12Hitze

    2Antwort

    Plötzlich bin ich gemacht, um in einige Verilog Testbench-Code, der $ readmemh, und $ writememh verwendet. Ich habe verstanden, dass es im Grunde zu lesen und in Erinnerung zu schreiben. Ich werde mic

    1Hitze

    4Antwort

    Ich habe die Aufgabe, einige Verilog-basierte RTL-Code zu überprüfen. Jetzt scheint die Codierung der RTL-Testbench mit Verilog sehr schwierig zu sein (für mich). Also würde ich gerne eines der folgen

    3Hitze

    5Antwort

    Ich muss eine 4-zu-1-Funktion in Veriog implementieren. Die Eingabe ist 4 Bits, eine Zahl von 0-15. Der Ausgang ist ein einzelnes Bit, 0 oder 1. Jeder Eingang gibt einen anderen Ausgang und die Zuordn

    3Hitze

    5Antwort

    Derzeit teste ich einige RTL, ich benutze ncverilog, und es ist sehr ... sehr langsam. Ich habe gehört, dass, wenn wir eine Art von FPGA-Boards verwenden, die Dinge schneller sein werden. Ist es echt?