Wie Pseudozufallszahl in FPGA generieren?Wie Pseudozufallszahl in FPGA generieren?
5
A
Antwort
6
Diese abgedeckt worden ist (ich würde für einen LFSR gehen): Random number generation on Spartan-3E
3
Wenn es nicht für Kryptographie oder andere Anwendungen mit einem intelligenten Gegner (zum Beispiel Glücksspiel) ist würde ich einen linear feedback shift register Ansatz.
Es verwendet nur exklusive oder und Verschiebung, so dass es sehr einfach ist, in Hardware zu implementieren.
4
Es gibt eine ausgezeichnete Xilinx Application Note zum effizienten Erzeugen von Pseudozufallszahlenfolgen in einem FPGA. Es ist XAPP052.
0
Wie andere bereits gesagt haben, können LFSRs für Pseudozufallszahlen in einem FPGA verwendet werden. Hier ist eine VHDL-Implementierung eines 32-Bit-LFSR mit maximaler Länge.
process(clk)
-- maximal length 32-bit xnor LFSR based on xilinx app note XAPP210
function lfsr32(x : std_logic_vector(31 downto 0)) return std_logic_vector is
begin
return x(30 downto 0) & (x(0) xnor x(1) xnor x(21) xnor x(31));
end function;
begin
if rising_edge(clk) then
if rst='1' then
pseudo_rand <= (others => '0');
else
pseudo_rand <= lfsr32(psuedo_rand);
end if;
end if;
end process;
Verwandte Themen
- 1. Wie kann ich eine kryptografisch sichere Pseudozufallszahl in C# generieren?
- 2. Generieren einer Pseudozufallszahl und eines Buchstabens ohne Wiederholungen
- 3. Wie generiert man eine kryptografisch sichere Pseudozufallszahl in C?
- 4. FPGA (Verilog) - Arbeiten in Simulation, aber nicht auf FPGA
- 5. FPGA misst genaue Zeiten
- 6. FT600 Schnittstelle mit FPGA
- 7. FPGA-basierte RTL-Auswertung
- 8. Wie teile ich Rocket-Chip an altera FPGA?
- 9. Wie Pseudozufallszahl zwischen A bis B (A, B sind int) in GNU C nur mit Standardbibliothek
- 10. Paritätsprüfung in Matlab und FPGA-Board
- 11. FPGA effiziente (a) synchrone Rücksetzungen
- 12. Fehler in VHDL-Code mit fpga Vorteil
- 13. Testen der PCI-Schnittstelle auf FPGA
- 14. VHDL, FPGA, 7segment LED mit Taster
- 15. Verilog, FPGA, Verwendung eines vereinheitlichten Registers
- 16. Bestätigung der FPGA-Last mit XSVF-Player
- 17. Vergleichen von FPGA mit ASIC-Design
- 18. Wie kann ich unbegrenzte Schleifen im FPGA implementieren?
- 19. Generieren einer Zufallszahl mit einer ungleichmäßigen Verteilung
- 20. Gute Seiten/Blogs für FPGA-Entwicklungsprojekte
- 21. ist ein Stapelzeiger eine gute Quelle für Pseudozufallszahl
- 22. Maximale Frquenz meines FPGA-Designs in Quartus (Altera)
- 23. Wie Stub in Android generieren?
- 24. wie generieren Konstrukteuren in Eclipse
- 25. Wie Jahr in Smarty generieren?
- 26. Auf der Suche nach einem programmierbaren FPGA + -Maschine
- 27. Wie dynamisch Funktionen generieren?
- 28. Wie Javascript stacktrace generieren?
- 29. Wie programmatisch Schaltflächen generieren?
- 30. Wie HL7 Nachricht generieren?
Für diejenigen, die in FPGA nach Zufallszahlen suchen, werden sie in der Lage sein, diese Frage viel leichter zu finden. Daher werde ich nicht dafür stimmen, als Duplikat zu schließen. – AlbertoPL
Vielleicht möchten diejenigen, die für FPGA in Stackoverflow suchen, über eine bestimmte Website wissen: [Logic Design-Vorschlag] (http://area51.stackexchange.com/proposals/20632/logic-design?refrer=YVw0qLaS2iiFAaI1gMp5oQ2) – woliveirajr
oh, gut, dass es hier gefragt wurde und nicht in dieser Area 51 Site. Es wurde gelöscht;) –