2016-05-09 5 views

Antwort

0

Während lpm_mux verwenden, müssen Sie 3 Signale zum Antrieb (+ Takt für pipeline usage):

  • data Port, der von LPM_SIZE Bussen besteht, die jeweils LPM_WIDTH breit,
  • sel Signal, das wählt man der Eingangsbusse, LPM_WIDTHS breit,
  • result Port, der LPM_WIDTH breit ist.

data und sel beiden Eingänge ist result Ausgangstor. Die Werte LPM_SIZE, LPM_WIDTH und LPM_WIDTHS können mit defparam festgelegt werden.

Verwandte Themen