2017-11-08 3 views
0

Ich verwende Quartus, um Simulationen auszuführen und Verilog-Code zu analysieren. Ich habe das Internet und Stack Overflow durchsucht, aber ich habe keine Antworten auf meine Frage gefunden.Kompilieren von Verilog HDL in Quartus

Ich möchte den RTL Viewer mit Verilog-Code ich in Quartus öffnen, aber wenn ich den Verilog-Code selbst öffnen, sind die Kompilierung und andere Optionen inaktiv. Ich bemerkte jedoch, dass wenn ich eine QPF-Datei öffne, scheint es zu funktionieren.

Muss ich eine QPF-Datei erstellen, um den RTL Viewer zu verwenden? Und wenn ja, wie könnte ich das mit bestehendem Verilog-Code, den ich habe, machen?

Antwort

2

Um RTL Viewer verwenden zu können, müssen Sie in der Quartus-Software mindestens eine Task Analysis & Elaboration ausführen. Diese Aufgabe generiert eine Pre-Synthesis-Netzliste basierend auf Ihrer Logik (z. B. Code) (vergleiche: Intel® Quartus® Prime Standard Edition Handbook, S. 791)), die von RTL Viewer verwendet wird. Sie können diese Aufgabe nur dann ausführen, wenn Sie Quartus Project erstellt haben.

QPF-Datei ist nur Quartus Project File. Sie können ein neues Projekt erstellen und einfach den Code hinzufügen, den Sie zuvor erstellt haben. Drücken Sie einfach Project > Add/Remove Files in Project.

+0

Vielen Dank! Ich werde es ausprobieren. – Sean

Verwandte Themen