2017-11-24 3 views
0

Ich bin ziemlich neu zu Verilog und Active-HDL. Ich habe ein Problem, und ich würde es begrüßen, wenn mir jemand dazu beraten könnte.Anzeige Wellenform-Active HDL

Ich kann die Wellenformen der Module der zweiten Schicht im Wellenform-Viewer nicht sehen. Genauer gesagt zeigen die Signale in Submodulen entweder Z oder X.

Bitte beachten Sie, dass ich den Lese-/Schreibzugriff über tools/preferences/simulation/access design-Objekt aktiviert habe.

Zum Beispiel erzeuge ich ein clk im TB-Modul und verbinde es mit clk_mod, versuche den clk in clk_mod zu sehen, aber für clk zeigt es nur "Z" und für "i" nur "X".

`timescale 1ns/100ps 

module tb; 
reg clk; 
clk_mod dut(.clk(clk));  

initial 
    begin 
     clk = 0; 
     forever 
      #5 clk = ~clk; 
    end 
endmodule 


module clk_mod (input clk); 

reg i; 
always @(posedge clk) 
    begin 
     i=10; 
    end 

endmodule 

Antwort

0

Ich denke, dass Ihr tb fehlt der Ausgang von der Simulation.

initial #20 $finish; 

Diese 20-Simulation in Schritt beenden würde und sollte Wellenformen erstellen für Sie, wenn Sie mit der rechten Werkzeuge verwenden: Sie sollten die folgende Anweisung zum tb Modul (als separate Anweisung) hinzu.

Außerdem haben Sie i als Einzelbit-Registrierung deklariert, Sie können also nicht "10" hineinpassen. Ihre Wellenform sollte also eine umschaltende Uhr und eine einzelne Transaktion von 'i' von 'x' bis '0' anzeigen.

Ich denke, man sollte 'i', da dies erklärt haben:

reg [3:0] i; 
Verwandte Themen